*** Welcome to piglix ***

Design Automation Conference


The Design Automation Conference, or DAC, is an annual event, a combination of a technical conference and a trade show, both specializing in electronic design automation (EDA).

DAC is the oldest and largest conference in EDA, started in 1964. It grew out of the SHARE ("Society to Help Avoid Redundant Effort") design automation workshop. Its originators Marie Pistilli and Pasquale (Pat) Pistilli were honored by the EDA community. Pat received the highest honor in EDA industry, the Phil Kaufman Award, for this effort and Marie was honored by having an award established in her name, Marie R. Pistilli Women in EDA Achievement Award, known as the Marie R. Pistilli Women in Engineering Achievement Award since 2016.

Up until the mid-'70s, DAC had sessions on all types of design automation, including mechanical and architectural. After that, for all intents and purposes, only topics concerned with electronic design have been included. Also until the mid-'70s, DAC was strictly a technical conference. Then a few companies started to request space to show their products, and within a few years the trade show portion of DAC became the main focus of the event. The first commercial DAC was held in June 1984. As a rough metric of the importance of the trade show portion, about 5,500 people attended DAC in 2005, whereas ICCAD, at least as strong technically but with no trade show, drew perhaps a tenth as many attendees.

Other similar conferences are the International Conference on Computer-Aided Design, or ICCAD (technical only, no trade show), Design Automation and Test in Europe (DATE), Asia and South Pacific Design Automation Conference (ASPDAC), and International Symposium on Quality Electronic Design (ISQED).

Over the past few years the conference location has been alternating among San Diego, Anaheim, and San Francisco. The conference is usually held in June.

DAC is sponsored by several professional societies: ACM-SIGDA (Association for Computing Machinery, Special Interest Group on Design Automation), EDAC (EDA Consortium), and IEEE-CEDA (Institute of Electrical and Electronics Engineers, IEEE Council on Electronic Design Automation), in technical cooperation with IEEE-SSCS (IEEE Solid-State Circuits Society). DAC is organized by hundreds of volunteer committee members from EDA companies and academia.


...
Wikipedia

...